HiFiForum.nu
Home | Forums | Profile | Register | Active Topics | Links | Members | Articles | PM | Search | FAQ
Username:
Password:
Save Password
Forgot your Password?

Private Messages
You must log in to check messages
 All Forums
 HiFiForum.nu - Mångfaldens forum
 Teknik och DIY
 Ny medlem söker simuleringshjälp
 New Topic  Topic Locked
 Printer Friendly
Author Previous Topic Topic Next Topic  

RT
Starting Member

17 Posts

Posted - 2003/05/08 :  00:53:47  Show Profile Send RT a Private Message
Sökte mig hit eftersom jag fick reda på att det fanns en hel del kompetenta människor här som skulle kunna hjälpa mig med mitt lilla problem..

Det är så att jag har en förkärlek för bilstereo och då kraftig sådan och efter att ha slängt ut ett antal lappar på bl.a. kraftpaket från Genesis (nuvarande DLS) så fick jag för mig att designa en egen..

Har hållit på med elektronik och då speciellt digitalteknik så jag antar att det inte skall vara ngn större svårighet att konstruera ngt som ger ifrån sig ljud :D Har designen för mitt switchade mosfet PS men vill rita upp det i Multisim för att kunna simulera kretsen innan jag bygger den..
Har fått en licens från skolan men då de själva aldrig använt programmet finns det ingen som kan hjälpa mig..

Förstårhur man gör enkla simuleringar o mätningar men hur gör man om man vill använda mer avancerade komponenter som t.ex. PWM-kretsen SG3525 för att styra MOSFET:arna??

Har även hört talas om ngt program kallat SPICE men tänkte att Multisim vore det bästa då jag ändå har licens för det :)

mvh
/RT

soderberg
Starting Member

30 Posts

Posted - 2003/05/09 :  15:57:16  Show Profile Send soderberg a Private Message
quote:

....

Förstårhur man gör enkla simuleringar o mätningar men hur gör man om man vill använda mer avancerade komponenter som t.ex. PWM-kretsen SG3525 för att styra MOSFET:arna??


Skriv en själv! Om du är kompetent nog att designa med IC-kretsen ifråga så kan det väl inte vara så svårt att tota ihop en modell själv, åtminstone inte om du bara vill ha korrekt funktion. Multisim tar ju tydligen både verilog och VHDL.
Att få med detaljer på SPICE-nivå är väl däremot lite svårare, men inte ogörligt, databladet har ju ganska bra kretsschema.

Måste det vara just den kretsen? ST verkar ju inte ha något designstöd alls. Kolla hos andra tillverkare som har liknande kretsar, de kanske har modeller att erbjuda. Eller börja med att leta igenom modellbiblioteket för Multisim, där kanske finns någon annan PWM-krets modellerad som du kan tjuvkika på för att ha som utgångspunkt för en egen modell.

quote:

Har även hört talas om ngt program kallat SPICE men tänkte att Multisim vore det bästa då jag ändå har licens för det :)


"Hört talas om" Skojar du? SPICE är ju alla analogsimuleringsprograms i världen urmoder.

mvh

/Magnus Söderberg
Go to Top of Page

RT
Starting Member

17 Posts

Posted - 2003/05/09 :  19:44:21  Show Profile Send RT a Private Message
ang andra kretsar blir det iaf ett 2525 eller 2524 då ett 3525 verkar svåråtkomligt i sverige..

Databladen för dessa är mycket utförliga men då jag i princip är helt grön på simulering så skulle jag kanske behövla lite hjälp..
Go to Top of Page

soderberg
Starting Member

30 Posts

Posted - 2003/05/13 :  10:15:51  Show Profile Send soderberg a Private Message
quote:

ang andra kretsar blir det iaf ett 2525 eller 2524 då ett 3525 verkar svåråtkomligt i sverige..

Databladen för dessa är mycket utförliga men då jag i princip är helt grön på simulering så skulle jag kanske behövla lite hjälp..


men måste det vara just ST? det finns väl andra tillverkare av PWM-kretsar?

Hur som, modellering av hårdvara är alltifrån triviellt till mkt svårt beroende på vilken noggrannhet man vill ha i sin simulering. Makro-modeller av operationsförstärkare t.ex kommer ganska nära det verkliga beteendet, men man måste ändå bygga en prototyp för att försäkra sig om att det fungerar. Jag tycker att man ska ta fasta på det och inte försöka ta med alltför mycket detaljer i sin modell, åtminstone inte till en början.

Det ska alltså åstadkommas en funktionellt korrekt modell av en 2525.
Multisim, osm jag har noll erfarenhet av, kan tydligen klara av SPICE,verilog,VHDL och C.
SPICE är jag inte särskilt erfaren av att skriva modeller i och vi behöver inte heller den noggrannheten. Vad jag förstår har du ingen erfarenhet av vare sig VHDL eller Verilog, så vilket av de språken spelar ingen roll. Verilog kan inte ha in/ut-gångar med flyttal, men det kan VHDL så det får det bli.

Till att börja med ska vi bara kolla hur man får in VHDl i Multisim, och det är något du måste klara själv.

En bra början är ngt väldigt enkelt, t.ex en AND-grind.
Stoppa in följande VHDL-kod i Multisim och testa att den beter sig som en AND-grind ska.
-----
-- silly testfile, an andgate
library ieee;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_1164.all;

entity andgate is
port (
a : in std_logic;
b : in std_logic;
q : out std_logic
);

end andgate;

architecture rtl of andgate is

begin -- rtl

process (a,b)
begin -- process
q <= a and b;
end process;

end rtl;
-- end of silly testfile


/Magnus
Go to Top of Page
  Previous Topic Topic Next Topic  
 New Topic  Topic Locked
 Printer Friendly
Jump To:
HiFiForum.nu © HiFiForum.nu except: Logos and Trademarks are property of their owners, Comments are property of their posters Go To Top Of Page
Denna sida genererades på 0.47 sekunder. Snitz Forums 2000